Section 1: The Post-Monolithic Paradigm: The Genesis and Architecture of EMIB
The relentless pace of the semiconductor industry, long governed by the predictive power of Moore’s Law, has entered a new and complex era. The traditional approach of monolithic integration—fabricating an entire System-on-Chip (SoC) on a single piece of silicon—is encountering formidable economic and physical barriers. As feature sizes shrink to atomic scales, the challenges of declining manufacturing yields on large dies, ballooning design costs for advanced process nodes, and the fundamental physical constraints of photolithography reticle size (typically limited to an area of 800-1000 $mm^2$) have necessitated a paradigm shift.1 This shift is toward heterogeneous integration, a design philosophy that disaggregates the monolithic SoC into a collection of smaller, specialized dies known as “chiplets”.3
This chiplet-based approach offers profound advantages. It allows different functional blocks of a processor—such as compute cores, I/O, and memory controllers—to be manufactured on the most appropriate and cost-effective process node.6 High-performance logic can be built on cutting-edge nodes, while analog I/O can remain on more mature, higher-yielding processes. This “mix-and-match” capability not only improves overall yield and reduces cost but also dramatically accelerates time-to-market by enabling the reuse of proven IP blocks.3 However, the success of this disaggregated model hinges entirely on the ability to reconnect these disparate chiplets within a single package, not just with electrical continuity, but with bandwidth and latency that rival the on-die interconnects of a monolithic chip.
It is in this context that Intel’s Embedded Multi-die Interconnect Bridge (EMIB) emerges as a foundational technology. Introduced into high-volume manufacturing in 2017, EMIB is a pioneering 2.5D advanced packaging solution conceived specifically to provide high-density, high-bandwidth die-to-die connections for heterogeneous systems.3 It represents a groundbreaking approach to in-package interconnects, serving as the critical link that makes the chiplet vision a high-volume manufacturing reality.1
1.2. Architectural Deep Dive: Deconstructing the Embedded Silicon Bridge
At its core, the EMIB architecture is an elegant solution to the interconnect challenge, characterized by its localized and efficient design. Unlike competing 2.5D approaches that rely on a large silicon interposer spanning the entire area beneath the connected dies, EMIB utilizes a very small, localized silicon “bridge” that is embedded directly into a conventional organic package substrate.9 This fundamental architectural choice is the source of its primary advantages in cost, scalability, and manufacturing simplicity.
The physical structure of an EMIB implementation consists of three key components:
- The Silicon Bridge: This is a small, thin piece of silicon, typically less than 75 µm thick, that contains multiple layers of high-density, fine-pitch routing.13 A typical bridge features four metal layers with precise line and space dimensions, for example, 2 µm lines and 2 µm spaces, enabling a density of wiring that is impossible to achieve in an organic substrate.13 These layers are used for high-speed signal routing, with some layers often dedicated as ground planes to ensure signal integrity.13
- The Organic Substrate: The silicon bridge is embedded into a precisely fabricated cavity within a standard, low-cost organic laminate substrate.3 The use of a conventional substrate material is a critical differentiator, allowing EMIB to leverage the mature and cost-effective supply chain of the existing packaging industry.
- Dual-Pitch Interconnects: The connection between the active dies and the rest of the package is achieved through a clever dual-pitch bump system. The high-bandwidth, die-to-die communication is routed from the edge of a chiplet, down through fine-pitch microbumps (µbumps), and across the silicon bridge to the adjacent chiplet. Initial EMIB generations utilized a 55 µm microbump pitch.13 Simultaneously, the rest of the die, which handles power delivery and standard I/O, connects directly to the organic substrate using standard, looser-pitch C4 bumps.10 This dual-pitch strategy localizes the high-cost, high-density interconnect only where it is absolutely necessary—at the die-to-die “shoreline”—while using conventional, cheaper interconnects for all other functions.
This architecture reveals a strategic focus on economic viability. The design choices inherent in EMIB show a deliberate trade-off, sacrificing the absolute maximum interconnect density of a full silicon interposer for significant gains in cost-effectiveness and manufacturing simplicity. A full silicon interposer offers wall-to-wall high-density connections but is expensive, limited by reticle size, and introduces complex power and thermal pathways.10 In contrast, a standard organic substrate is cheap and scalable but lacks the required wiring density for high-bandwidth die-to-die links.18 EMIB’s architecture—a tiny piece of high-density silicon embedded in a cheap, large organic substrate—represents a “best of both worlds” approach. It localizes the expensive, high-performance interconnect only where it is needed, while leveraging the cost-effective scalability of the organic package for everything else.4 This philosophy of pragmatic compromise is what makes EMIB a suitable technology for enabling heterogeneous integration in high-volume products, not just in niche, high-end applications.
1.3. The Manufacturing Blueprint: From Substrate Cavities to Final Assembly
A significant advantage of the EMIB technology is that its manufacturing process is an extension of the well-established, high-volume flip-chip ball grid array (FCBGA) assembly flow.10 This integration with standard processes simplifies the supply chain, reduces manufacturing risk, and contributes to the high assembly yields reported for the technology.10 The assembly is typically performed in Class 1000 to Class 10,000 cleanroom environments, as the placement of both the bridge and the dies requires micron-level alignment precision.11
The key steps in the EMIB manufacturing process are as follows 10:
- Substrate Fabrication: The process begins with the fabrication of the organic substrate. Unlike a standard substrate, precise cavities are created in the laminate material where the silicon bridges will be placed.
- Bridge Embedding: The small silicon bridges are then carefully placed into these cavities and are held in place with a specialized adhesive.
- Build-up Layers: Standard dielectric and metal build-up layers are laminated over the entire substrate, encapsulating the embedded bridges. This process creates a smooth, planar surface for the subsequent die attachment. Vias are then drilled and plated to establish connections to the bridge.
- Die Attach: The various chiplets are then attached to the substrate using a standard flip-chip process. This step requires extreme precision to ensure that the fine-pitch microbumps on the dies align perfectly with the contact pads on the embedded bridges, while the C4 bumps align with their respective pads on the organic substrate.
- Final Assembly: The process is completed with the injection of underfill material to provide mechanical stability and protect the microbumps, followed by package encapsulation and the attachment of a lid or heat spreader.
1.4. The EMIB Portfolio: Specialized Variants for Power (EMIB-M) and Connectivity (EMIB-T)
As the demands of high-performance computing have evolved, Intel has expanded the EMIB portfolio with specialized variants designed to address specific challenges in power delivery and connectivity.3
- EMIB-M: This variant integrates Metal-Insulator-Metal (MIM) capacitors directly into the silicon bridge structure.3 These high-density capacitors act as local decoupling capacitance, which is critical for stabilizing the power supply voltage. By placing them directly in the interconnect path, EMIB-M enhances power delivery integrity, mitigating both DC voltage droop and AC noise (ripple). This is particularly important for power-hungry applications and for providing clean power to noise-sensitive components like High-Bandwidth Memory (HBM) stacks.10
- EMIB-T: This more recent and strategically significant variant adds Through-Silicon Vias (TSVs) to the bridge itself.19 In the standard EMIB architecture, signals are routed within the metal layers of the bridge. In EMIB-T, signals and power can be routed vertically through the silicon bridge via TSVs. This architecture is designed to support next-generation, ultra-high-bandwidth interfaces like HBM4 and the Universal Chiplet Interconnect Express (UCIe) standard.21
The development of EMIB-T is more than a simple technical evolution; it represents a strategic move to lower the barrier for customers to migrate designs from competing packaging platforms. A large portion of the high-performance chiplet ecosystem, especially designs involving HBM, has been built around TSV-based silicon interposers like TSMC’s CoWoS.22 Migrating a chiplet design from a TSV-based interposer to the original non-TSV EMIB architecture would require a significant and costly redesign of the chiplet’s I/O and power delivery scheme. EMIB-T, by introducing TSVs into the bridge, creates a more familiar vertical connection pathway.21 This architectural similarity makes it substantially easier for a customer to port an existing IP block or chiplet designed for a TSV interposer onto Intel’s EMIB platform, as it “enables design conversion from other packaging technologies”.10 In this sense, EMIB-T is a competitive tool designed to capture market share within the Intel Foundry Services ecosystem by reducing the friction and cost of migration for customers.
Section 2: A Comparative Analysis of Advanced Interconnect Technologies
The selection of an advanced packaging technology is a critical decision in system architecture, involving a complex series of trade-offs between performance, power, area, and cost (PPAC). EMIB is positioned within a dynamic landscape of competing and complementary technologies, primarily full silicon interposers and true 3D die stacking. A thorough analysis of EMIB requires a rigorous comparison against these alternatives to understand its unique value proposition and the specific scenarios where it excels.
2.1. EMIB vs. The Silicon Interposer: A Fundamental Trade-off of Cost, Scale, and Density
The most direct comparison for EMIB is the silicon interposer, a technology commercialized most prominently by TSMC under the CoWoS (Chip-on-Wafer-on-Substrate) brand.1 While both are 2.5D technologies, their architectural differences lead to starkly different trade-offs.
- Cost: This is the most significant differentiator and EMIB’s primary advantage. A silicon interposer is a large, passive slab of silicon that must be at least the size of all the dies it connects. Manufacturing this large piece of silicon, especially with the need for thousands of TSVs to connect to the package substrate below, is an expensive process.17 Industry estimates place the added cost of a silicon interposer at $30 for a medium-sized die, rising to over $100 for large, multi-reticle designs.17 EMIB, by contrast, replaces this large interposer with a tiny silicon bridge, drastically reducing the amount of silicon required and eliminating the need for TSVs in the interposer, thereby lowering complexity and cost.11
- Scalability & Reticle Limits: Silicon interposers are fundamentally constrained by the maximum size of a photolithography reticle, which is approximately 832 $mm^2$.15 While techniques exist to stitch multiple interposers together, they add complexity and cost. EMIB completely bypasses this limitation. Because the bridges are small and embedded in a much larger organic substrate, multiple bridges can be placed as needed to connect numerous dies, enabling the creation of massive Systems-in-Package (SiPs) with a total silicon surface area far exceeding the reticle limit.3 This is a crucial advantage for scaling out the large, multi-chiplet processors required for future HPC and AI workloads.
- Interconnect Density: A full silicon interposer holds a theoretical advantage in overall interconnect density. Since the entire area beneath the dies is a high-density routing fabric, it offers “wall-to-wall” high-bandwidth connectivity.11 EMIB provides extremely high interconnect density, but this is localized to the regions of the silicon bridges.1 For connections that only need to be made at the periphery of two dies, EMIB provides comparable density and performance. However, for a design that requires high-density connections across the entire face of a die, a silicon interposer may be necessary.
- Power & Signal Integrity: EMIB can offer a more direct and robust power delivery network. In an interposer-based design, all power must travel from the package, up through the interposer’s TSVs, and then to the active dies. With EMIB, power can be delivered directly from the package substrate to the dies via standard C4 bumps, avoiding the resistive path through the interposer.10 This can improve power integrity and simplify the design of the power delivery network. Furthermore, the large silicon interposer can experience greater thermal-mechanical stress and warpage due to the CTE mismatch with the organic substrate, a problem that is mitigated by EMIB’s much smaller silicon footprint.31
2.2. 2.5D vs. 3D: Positioning EMIB in Relation to Foveros Die Stacking
While EMIB represents the cutting edge of 2.5D integration, it is complemented within Intel’s portfolio by Foveros, a true 3D die-stacking technology. These two technologies are not competitors; they solve different problems and are designed to be used in conjunction.32
- Dimensionality and Interconnect Paradigm: EMIB is a 2.5D, or lateral, interconnect technology. It places dies side-by-side on a single plane and connects them horizontally.5 Foveros, in contrast, is a 3D, or vertical, interconnect technology. It stacks dies directly on top of one another, connecting them with vertical, face-to-face bonds.32
- Interconnect Density and Performance: 3D stacking with Foveros enables a revolutionary leap in interconnect density, latency, and power efficiency. Foveros utilizes an ultra-fine microbump pitch for its face-to-face connections—starting at 36 µm and scaling down further—which is significantly tighter than EMIB’s 55 µm or 45 µm pitch.26 This extreme vertical proximity dramatically shortens the signal travel distance, which in turn lowers latency and reduces the energy-per-bit (pJ/bit) required for communication.34
- Use Case Differentiation: The two technologies are optimized for different architectural scenarios. EMIB is ideal for connecting large, functionally distinct chiplets that require high horizontal bandwidth. Examples include linking a CPU to multiple HBM stacks or stitching together several large compute tiles to form a processor that exceeds the reticle limit.32 Foveros is best suited for tightly coupling logic layers that benefit from the lowest possible latency and highest possible bandwidth. A prime example is stacking a high-performance compute tile directly on top of a base die that contains a large L3 cache and I/O controllers.32
2.3. The Hybrid Future: Co-EMIB and the Emergence of EMIB 3.5D Architecture
The true power of Intel’s advanced packaging strategy is realized when EMIB and Foveros are combined into a single, hybrid architecture. This allows system designers to leverage the distinct advantages of both 2.5D and 3D integration within the same package.
- Co-EMIB: This technology describes the use of EMIB to link two or more complete Foveros 3D-stacked elements.4 By using EMIB as the lateral “stitching” fabric, Co-EMIB allows designers to construct massive systems from multiple complex 3D stacks, achieving an aggregate performance that approaches that of a single, giant monolithic chip.37
- EMIB 3.5D: This is the formal designation for this hybrid architecture that combines EMIB (2.5D) and Foveros (3D) in a single package.3 This approach provides an optimized balance of package size, compute performance, power consumption, and cost.10 It overcomes the individual limitations of each technology—using Foveros for ultra-dense vertical stacking and EMIB to scale the system out horizontally, breaking reticle limits and connecting disparate blocks like HBM. This enables the creation of systems with a total silicon surface area far greater than what silicon interposers alone can achieve.28 The Intel Ponte Vecchio GPU, with its 47 active tiles, is the premier example of this powerful 3.5D architecture in a production product.11
Intel’s strategy is not to bet on a single packaging technology to “win,” but rather to develop a complementary “packaging toolkit.” EMIB provides a cost-effective, highly scalable solution for lateral scale-out, while Foveros offers an ultra-high-performance solution for vertical scale-up. By offering both technologies and, crucially, a method to combine them in the form of Co-EMIB and EMIB 3.5D, Intel provides its architects with unparalleled flexibility.4 They can select the optimal interconnect tool for each specific interface within a complex design, allowing for a more granular optimization of the power, performance, area, and cost equation at the system level.
2.4. Competitive Landscape: Benchmarking Against TSMC’s CoWoS and LSI
The advanced packaging landscape is highly competitive, with TSMC being Intel’s primary rival. Understanding EMIB’s position requires benchmarking it against TSMC’s portfolio.
- CoWoS (Chip-on-Wafer-on-Substrate): TSMC’s CoWoS family, particularly CoWoS-S (Silicon interposer), is the market-leading 2.5D technology and the de facto standard for high-end AI accelerators that require the integration of multiple HBM stacks with a large logic die.1 The fundamental comparison remains that of EMIB versus silicon interposers: CoWoS-S provides exceptional performance and density but at a higher cost and with the inherent scalability limitations of its large interposer.23
- CoWoS-R and CoWoS-L: Recognizing the advantages of localized interconnects, TSMC has developed variants to compete more directly with EMIB’s value proposition. CoWoS-R uses an organic Redistribution Layer (RDL) interposer, while CoWoS-L uses a Local Silicon Interconnect (LSI)—a silicon bridge—to connect chiplets.22 These offerings aim to provide more cost-effective and scalable solutions than the full silicon interposer of CoWoS-S.
- LSI (LocalSi Interconnect): TSMC’s LSI is a direct architectural equivalent to EMIB, employing an embedded silicon bridge to provide high-density, localized connections.42
The emergence of competing bridge technologies like CoWoS-L and LSI is the strongest possible validation of the principles that EMIB pioneered. Initially, EMIB was a unique, proprietary Intel technology that stood in contrast to the industry-standard silicon interposer approach.25 The fact that competitors like TSMC, observing the success and inherent advantages of EMIB in cost and scalability, have now developed their own versions of localized interconnects signifies a broad market convergence.22 This trend indicates an industry-wide acknowledgment that the localized bridge architecture is a critical, non-negotiable component of future advanced packaging roadmaps. This elevates EMIB from a clever Intel innovation to a foundational architectural pattern for the entire semiconductor industry.
Table 2.1: Comparative Benchmark: EMIB vs. Silicon Interposer vs. 3D Stacking
| Metric | EMIB (2.5D Bridge) | Silicon Interposer (e.g., CoWoS-S) | 3D Stacking (e.g., Foveros) |
| Interconnect Density | High (localized at bridge) | Very High (full area) | Ultra High (face-to-face) |
| Bandwidth | High | Very High | Ultra High |
| Latency | Low | Low | Ultra Low |
| Power Efficiency (pJ/bit) | Very Good (~0.8-1.2 pJ/bit, targeting <0.5) [14, 16] | Good (~7 pJ/bit for HBM interface) [44] | Excellent (<0.5 pJ/bit) [45] |
| Max Scale (Reticle Limit) | Not limited; enables >1X reticle systems [28, 29] | Limited to ~1X-4X reticle size [15, 19] | Limited by base die size (can be >1X via EMIB) |
| Relative Cost | Moderate | High to Very High 17 | High (due to fine-pitch bonding complexity) 32 |
| Key Use Cases | Logic-to-Logic, Logic-to-HBM, Scale-out compute beyond reticle limits [10, 19, 34] | High-end AI/HPC accelerators with multiple HBM stacks [22, 24] | Logic-on-Logic, Logic-on-Cache, stacking functional layers for lowest latency 32 |
Section 3: Performance, Power, and Physical Constraints
While the architectural concepts of EMIB are compelling, its practical implementation is governed by a complex interplay of performance metrics, power delivery constraints, and physical realities like thermal stress. A deep understanding of the technology requires a quantitative analysis of its capabilities and the engineering challenges that must be overcome to achieve them.
3.1. Quantifying the Connection: Bandwidth, Latency, and Power Efficiency (pJ/bit)
EMIB is engineered to deliver performance characteristics that far exceed traditional package interconnects, approaching the efficiency of on-die wiring.
- Bandwidth Density: The density of the interconnect is a primary measure of performance. Early EMIB implementations, such as in the Stratix 10 FPGA, featured a “beachfront” density of 250 wires per millimeter of die edge.13 More recent academic research, detailing a 16nm test chiplet connected to a Stratix 10 via EMIB, demonstrated an achievable shoreline bandwidth density of 256 Gb/s/mm.16 Broader technical documents cite an interconnect density in the range of 500-1000 I/Os per millimeter, highlighting the technology’s capability for extremely dense connections.6
- Data Rates & Latency: The per-pin data rate is another critical metric. The Stratix 10 implementation supports data rates of 2 Gb/s per pin.14 The aforementioned 16nm chiplet test also achieved 2 Gb/s per pin, with a measured one-way latency of just 4 nanoseconds (8 ns round-trip).16 This low latency is a direct result of the short, direct path the signal travels through the silicon bridge.
- Power Efficiency: A key advantage of on-package interconnects like EMIB is their superior power efficiency, measured in picojoules per bit (pJ/bit). This is achieved through the use of shorter wires, which have lower resistance and capacitance, and allows for the use of simpler, lower-power driver and receiver circuitry.10 The initial Stratix 10 implementation was measured at a power efficiency of 1.2 pJ/bit per die.14 The AIB-compatible chiplet test achieved an even better 0.83 pJ/bit.16 The industry-wide goal for such interconnects is to drive this figure below 0.5 pJ/bit, and future EMIB-T packages are targeting an efficiency of approximately 0.25 pJ/bit, representing an order-of-magnitude improvement over off-package communication.31
These performance figures are not static; they are the result of a complex series of system-level trade-offs. The effective bandwidth, latency, and power of an EMIB link are an intricate function of physical parameters like bump pitch and line/space dimensions, signaling choices such as shielding patterns, and system constraints like channel length and power delivery network design.13 This means EMIB is not a fixed-performance component but a highly customizable interconnect fabric where each die-to-die link can be individually optimized to meet the specific requirements of the interface.10 Achieving optimal performance, therefore, requires a holistic design process that co-optimizes the chiplet I/O, the bridge layout, and the package power delivery network.
3.2. Maintaining Fidelity: Signal and Power Integrity (SI/PI) Analysis
At the multi-gigabit data rates enabled by EMIB, maintaining the fidelity of electrical signals is a paramount challenge. Signal Integrity (SI) and Power Integrity (PI) are critical design considerations.
- Signal Integrity (SI): The localized nature of the EMIB bridge provides an inherent SI advantage. Because the bridge is small, the overall input/output (I/O) signal characteristics of the package are largely unaffected, which contrasts with a full silicon interposer where all signals must traverse an additional layer of silicon with its associated parasitics.10 However, careful design is still required to manage high-speed effects. To ensure clean signal transmission, designers employ techniques such as implementing dedicated ground planes within the bridge’s metal layers and using specific shielding patterns (e.g., a 3-signal to 1-ground wire ratio) to minimize crosstalk between adjacent signal lines.13 Comprehensive SI analysis must also account for phenomena like channel resonance and insertion loss that can degrade the signal at high frequencies.47
- Power Delivery Network (PDN) Design: Providing stable, clean power to multiple high-performance chiplets is a primary design concern in any advanced package.12 The base EMIB architecture offers a relatively direct path for power from the package substrate to the dies, which is beneficial.13 However, for today’s demanding applications, more sophisticated solutions are necessary. The EMIB portfolio has evolved to address these needs:
- EMIB-M directly tackles power integrity by integrating MIM capacitors into the bridge. These capacitors act as a local energy reservoir, suppressing high-frequency power supply noise (PSN) and reducing the overall DC IR drop, ensuring the chiplets receive a stable voltage.3
- EMIB-T further enhances the PDN by incorporating TSVs. This allows for direct vertical power delivery from the package substrate through the bridge, creating a very low-inductance path. This is especially critical for connecting to HBM, which has very high transient current demands.10
- Looking forward, the integration of EMIB with next-generation process technologies that feature backside power delivery (such as Intel’s PowerVia) will further revolutionize PDN design. By moving the power delivery network to the backside of the wafer, signal and power routing are completely decoupled, which will dramatically improve the performance and efficiency of the PDN for chiplets connected by EMIB.28
3.3. The Thermal Challenge: Stress Management and Mitigation in EMIB Packages
One of the most significant physical challenges in advanced packaging is managing thermal stress. In an EMIB package, this stress is a major reliability concern and arises primarily from the mismatch in the Coefficient of Thermal Expansion (CTE) between the different materials used: the silicon of the bridge and chiplets, the organic laminate of the substrate, and the copper of the interconnects.1 As the package heats and cools during manufacturing (e.g., solder reflow) and normal operation, these materials expand and contract at different rates, inducing mechanical stress that can lead to package warpage, delamination at material interfaces, or fatigue and fracture of the delicate microbumps.50
Engineers rely heavily on Finite Element Analysis (FEA) to model, predict, and mitigate these stresses during the design phase.1 Through extensive simulation, several key design parameters have been identified that can be optimized to enhance the thermo-mechanical reliability of EMIB packages 1:
- Bump Geometry: The ratio of the microbump’s diameter to its pitch has a significant impact on stress distribution. Analysis indicates that a diameter-to-pitch ratio of 0.3 is optimal for minimizing stress.
- Bump Distribution Pattern: The physical arrangement of the microbumps is also critical. A peripheral bump pattern, where the bumps are concentrated around the edge of the connection area, has been shown to be superior for stress reduction compared to a full-area array.
- EMIB Thickness: The thickness of the silicon bridge itself is a key lever. Thinner EMIBs have been found to linearly reduce the maximum principal stress within the structure.
While EMIB’s architecture avoids the severe thermal challenge of cooling a top die that is completely obstructed by a bottom die in a 3D stack, effective thermal management for the high-power chiplets is still essential.11 This requires the use of high-performance thermal interface materials (TIMs) and carefully designed heat spreaders. In recognition of this, Intel is actively developing redesigned heat spreaders that improve the uniformity of the TIM and reduce voids, enhancing the thermal transfer from the chiplets to the final cooling solution.46
3.4. The Design Ecosystem: EDA Flows and Co-Design Methodologies for Successful Implementation
The conceptual simplicity of EMIB belies a significant shift in design complexity. By moving the high-density interconnect from a self-contained monolithic die to the package level, EMIB necessitates a new, highly integrated co-design methodology.12 While the hardware architecture may be simpler than a full interposer, its implementation creates a new set of system-level challenges that require sophisticated tools and flows.
Successful EMIB implementation is impossible without a robust ecosystem of Electronic Design Automation (EDA) tools. Recognizing this, Intel Foundry has forged deep partnerships with all the major EDA vendors—including Siemens, Cadence, and Synopsys—to develop and certify comprehensive reference flows for designing with EMIB and its variants like EMIB-T.12 Mastering this co-design flow is a significant engineering challenge, and Intel’s investment in creating these certified flows is a critical enabler for customer adoption.
These advanced design flows include several key components 12:
- System Planning and Co-Design: The process begins at a high level with system planning tools (e.g., Siemens xSI) that manage the complex task of bump assignment and routing for multiple dies, the EMIB bridge, and the package substrate. This involves the simultaneous co-design of the EMIB silicon and the package, handling separate but interdependent netlists.
- Integrated Analysis: The flows integrate powerful analysis engines to model the multi-physics nature of the package. This includes thermal analysis tools (e.g., Caliber 3D thermal) to predict heat dissipation, SI/PI analysis tools to ensure signal and power integrity across the entire system, and mechanical stress simulators.
- System-Level Verification: A critical final step is full-system verification. Tools like Caliber 3D stack perform a complete Layout Versus Schematic (LVS) check that traces connectivity all the way from the internal logic of one die, across its microbumps, through the EMIB bridge, across the microbumps of the second die, and out to the final BGA balls of the package.
To facilitate this complex process, Intel Foundry provides its customers with a comprehensive set of design collaterals, including a silicon Process Design Kit (PDK) for the chiplets and a Package Assembly Design Kit (PADK) for the package and EMIB integration.12
Section 4: EMIB in Practice: Product Implementation and Case Studies
The true measure of any semiconductor technology lies in its successful implementation in real-world products. EMIB has transitioned from a promising research concept to a mature, high-volume manufacturing technology that is the backbone of some of Intel’s most ambitious products. An examination of these case studies reveals the technology’s versatility and its strategic evolution from a simple integrator to a system-level architect.
4.1. Programmable Logic: Enabling Heterogeneity in Stratix 10 and Agilex FPGAs
The first high-volume deployment of EMIB was in Intel’s Stratix 10 family of Field-Programmable Gate Arrays (FPGAs).11 This application perfectly illustrates EMIB’s initial value proposition: enabling heterogeneous integration to optimize performance and manufacturing.
- Architectural Benefit: The core challenge in advanced FPGA design is the integration of a high-performance digital logic fabric with high-speed analog transceiver I/O. These two functions are best built on different process technologies. EMIB allowed Intel to decouple these components, fabricating the core FPGA fabric on an advanced digital process (e.g., Intel 14nm) while manufacturing the analog transceiver tiles on a separate, more suitable process.8 This disaggregation improves the manufacturing yield of both components and provides immense flexibility, allowing different transceiver chiplets (with varying speeds and protocols) to be paired with the same core fabric to create a diverse product family.54
- Performance Gains: In the Stratix 10, EMIB was used not only to connect transceiver tiles but also to integrate stacks of HBM2 memory directly into the package.11 This provided the FPGA with up to 1 TB/s of memory bandwidth, a staggering 10-fold increase compared to traditional designs that rely on off-chip DDR memory.14
- Evolution to Agilex: The successor, the Intel Agilex FPGA family, continues and expands upon this strategy. Agilex devices use EMIB to integrate a wide variety of chiplets with the core 10nm SuperFin or Intel 7 fabric, including ultra-high-speed transceivers (up to 116 Gbps), hardened controllers for PCIe 5.0 and Compute Express Link (CXL), and other custom I/O or compute functions.11
4.2. Data Center Compute: Scaling Core Counts in the Intel Xeon Processor Line
EMIB has become a critical technology for Intel’s data center CPU portfolio, enabling both novel heterogeneous combinations and the ability to scale compute performance beyond the limits of a single die.
- Early Demonstrations: An early consumer-facing product, Kaby Lake-G, showcased EMIB’s potential by integrating an Intel CPU, a discrete AMD Radeon GPU, and HBM2 memory into a single, compact package.2 This was a powerful demonstration of EMIB’s ability to bridge different IP from different companies.
- Scaling Out with Sapphire Rapids: The 4th Gen Intel Xeon processor, codenamed Sapphire Rapids, marked a significant architectural shift. Instead of a single large monolithic die, it is constructed from four smaller compute tiles that are stitched together using EMIB. This allowed Intel to create a processor with a total silicon area of 1600 $mm^2$, effectively double the photolithography reticle limit.34 In this role, EMIB transitioned from being an integrator of different functions to a technology for scaling a single function beyond physical manufacturing constraints.
- Modern Chiplet Architectures: The latest Xeon 6 processors (codenamed Sierra Forest) utilize a more disaggregated chiplet architecture, with EMIB connecting a central compute chiplet fabricated on the advanced Intel 3 process node to two separate I/O chiplets.60 This allows each component to be optimized on its ideal process.
- The Future with Clearwater Forest: The roadmap for future Xeon processors, such as the 2025 release codenamed Clearwater Forest, points to even deeper integration. These processors will be built on the Intel 18A process and will leverage the full EMIB 3.5D architecture, combining lateral EMIB connections with vertical Foveros Direct 3D stacking to create systems of unprecedented complexity and efficiency.28
4.3. Exascale Ambition: The Architectural Complexity of the Ponte Vecchio GPU
The Intel Data Center GPU Max Series, codenamed Ponte Vecchio, stands as the pinnacle of advanced packaging and the ultimate showcase for the power of a hybrid EMIB and Foveros architecture.11 It is a “system of systems” in a single package.
- Unprecedented Complexity: The Ponte Vecchio GPU is composed of over 100 billion transistors distributed across 47 active silicon tiles.19 These tiles are fabricated on five different process nodes, leveraging the capabilities of both Intel’s own foundries and external partners like TSMC.19
- The Canonical 3.5D Architecture: This device is the definitive example of the EMIB 3.5D architecture in practice. The core of the system is built with Foveros, which is used to stack high-performance compute tiles (fabricated on TSMC’s N5 process) vertically on top of base tiles (fabricated on the Intel 7 process) that contain the L2 cache, memory controllers, and other interconnect logic.61 EMIB then serves as the high-speed lateral fabric that “stitches” these complex Foveros 3D stacks to each other and to adjacent HBM2e memory tiles.11 EMIB is the essential glue that enables the creation of this massive, multi-reticle system.
The evolution seen across these products reveals a clear strategic progression in how Intel utilizes EMIB. It began as a tool for integrating disparate components (e.g., FPGA fabric and transceivers in Stratix 10). It then evolved into a tool for scaling a single function beyond physical limits (e.g., connecting four compute tiles in Sapphire Rapids). Finally, in Ponte Vecchio, it has become the fundamental backbone of a new class of processor, defining the very topology and architecture of the system. It has matured from an integration technology to an architectural technology.
Furthermore, the Ponte Vecchio case study, with its mix of tiles from both Intel and TSMC, demonstrates that EMIB is a powerful catalyst for cross-foundry collaboration. It enables Intel to act as a master system integrator, selecting the best process technology from any foundry for a given chiplet and then using its leadership in advanced packaging to assemble the final, world-class product. This transforms EMIB into a strategic asset for the Intel Foundry Services (IFS) business model, turning potential competitors into component suppliers for Intel’s integrated systems.
4.4. Lessons from the Field: Yield, Reliability, and High-Volume Manufacturing Insights
A technology’s viability is ultimately proven in the factory. Since entering high-volume manufacturing in 2017, EMIB has demonstrated its maturity and manufacturability.10 A key factor in its success is that the assembly process is an incremental addition to existing FCBGA flows. As a result, Intel reports that assembly yields for complex EMIB packages are comparable to those of a standard FCBGA of similar complexity.10 This high yield and proven reliability, demonstrated through its deployment in mission-critical server, networking, and HPC products, underscore its status as a production-ready, scalable technology.10
Table 4.1: EMIB Implementations Across Intel Product Lines
| Product | Chiplets Connected | Process Nodes Involved | EMIB’s Specific Role & Benefit Realized |
| Intel Stratix 10 FPGA | FPGA Fabric, Transceiver Tiles, HBM2 Memory Stacks [11, 55] | Intel 14nm, others [54] | Heterogeneous Integration: Decoupled analog transceiver development from digital fabric, enabling optimized processes for each. Provided 10x memory bandwidth increase with HBM2.[14, 54] |
| Intel Agilex 7 FPGA | FPGA Fabric, High-Speed Transceivers (116G), PCIe 5.0/CXL Tiles, Custom I/O [56, 58] | Intel 10nm SuperFin, Intel 7 [56] | Modularity & Flexibility: Created a flexible platform to combine the core fabric with a wide variety of purpose-built chiplets for different applications.[56, 59] |
| Intel Xeon (Sapphire Rapids) | 4x Compute Tiles 34 | Intel 7 | Scale-Out Compute: Enabled the creation of a large processor with a total silicon area of 1600 $mm^2$, overcoming the ~800 $mm^2$ reticle limit to increase core count and performance.34 |
| Intel Xeon 6 (Sierra Forest) | 1x Compute Chiplet, 2x I/O Chiplets 60 | Intel 3, other mature nodes | Modern Disaggregation: Implemented a true chiplet-based server architecture, optimizing the compute core on the latest process while using a more cost-effective node for I/O.60 |
| Intel GPU Max (Ponte Vecchio) | 47 Active Tiles: Compute Tiles, Base Tiles, HBM2e Stacks, Xe Link Tiles 19 | 5 different nodes (Intel & TSMC) 61 | Hybrid 3.5D System Architecture: Served as the lateral interconnect fabric to stitch together multiple 3D Foveros stacks and HBM memory, enabling a massive, cross-foundry “system of systems”.61 |
Section 5: Strategic Implications and the Future Roadmap
EMIB is more than just an interconnect technology; it is a strategic asset that is shaping Intel’s product roadmap and its position within the broader semiconductor industry. Its future development trajectory and its alignment with emerging industry standards will be critical in defining the next generation of high-performance computing.
5.1. A Cornerstone of the Chiplet Ecosystem: Enabling Disaggregation and Modularity
EMIB is a primary enabler of the chiplet-based design paradigm that is now central to the semiconductor industry. By providing a high-performance, cost-effective method for die-to-die communication, it allows architects to fully realize the benefits of disaggregation.2 Large, monolithic SoCs can be broken down into smaller, more manageable chiplets, which leads to significantly improved manufacturing yields and lower silicon costs.
Furthermore, EMIB facilitates a modular and scalable design approach.5 Product families can be created by mixing and matching a library of pre-validated chiplets—for example, combining a standard compute tile with different I/O or accelerator chiplets—without requiring a full system redesign for each product variant. This modularity dramatically reduces non-recurring engineering (NRE) costs and accelerates time-to-market, providing a significant competitive advantage.
5.2. Standardization and Interoperability: The Role of EMIB in a UCIe-Enabled World
The long-term success of the chiplet ecosystem depends on standardization to ensure interoperability between chiplets from different vendors. While EMIB itself is a proprietary Intel packaging technology, the communication protocols that run across it are moving toward open industry standards.
- From AIB to UCIe: Intel’s early work on a standardized die-to-die interface, the Advanced Interface Bus (AIB), served as a crucial precursor.16 Recognizing the need for a broader industry consensus, Intel was a key founder of the Universal Chiplet Interconnect Express (UCIe) consortium, contributing its AIB technology as a foundation for the physical layer specification.63
- EMIB as a UCIe Physical Medium: UCIe is an open standard that defines the complete protocol stack for chiplet communication.64 Critically, the UCIe specification is package-aware and defines different physical layer (“PHY”) implementations for different types of advanced packaging. The specification for “advanced packaging” explicitly includes implementations for silicon interposers and embedded silicon bridges like EMIB.1
This convergence of EMIB with the UCIe standard marks a critical strategic inflection point. It transforms EMIB from a proprietary technology used only for Intel’s internal products into a foundational physical layer for a universal, multi-vendor chiplet ecosystem. This means a third-party company can design a UCIe-compliant chiplet with the full confidence that it can be successfully integrated onto an Intel Foundry package using EMIB. This evolution from a closed-loop product feature to an open-access platform technology dramatically increases EMIB’s strategic value to Intel Foundry Services and the broader industry.
5.3. The Path Forward: Scaling Bump Pitch, Expanding Package Size, and Future Applications
Intel is pursuing an aggressive roadmap to scale EMIB technology, pushing its performance and capabilities to meet the demands of future workloads.
- Density and Performance Scaling:
- Bump Pitch Reduction: The microbump pitch, which dictates the interconnect density, has already scaled from a first generation at 55 µm to a second generation at 45 µm.28 The roadmap includes further scaling to 40 µm and below, which will enable higher bandwidth density and more efficient die-to-die links.13
- Line/Space Scaling: Concurrently, the metal line and space dimensions within the silicon bridge itself are being scaled to sub-1 µm levels, further increasing the routing capacity of the bridge.13
- Package Size Expansion: Perhaps the most dramatic aspect of the roadmap is the plan for massive package size expansion. Intel has outlined plans to produce EMIB-based packages measuring 120 mm x 120 mm by 2026. These packages, roughly eight times the area of a single reticle, could integrate up to twelve HBM stacks with multiple compute chiplets, connected by over twenty EMIB bridges.46 Looking further to 2028, the target expands to 120 mm x 180 mm packages capable of accommodating more than 24 memory stacks and over 38 EMIB bridges.46
- Next-Generation EMIB-T: The EMIB-T variant, with its integrated TSVs, is a key part of this future roadmap. It is essential for enabling the integration of next-generation HBM4 memory and for providing a seamless migration path for IP designed for other TSV-based packaging technologies.21
This aggressive scaling roadmap is not arbitrary; it is a direct response to the architectural demands of next-generation AI. Future AI data centers are envisioned as massive, disaggregated systems requiring an exponential increase in memory bandwidth and compute density.10 The processor packages at the heart of these systems will need to integrate dozens of HBM stacks and multiple compute chiplets (XPUs) in close proximity.68 Intel’s roadmap for massive EMIB packages is a direct blueprint for the physical realization of such a processor. In this context, the EMIB roadmap is not just about making bigger packages; it is a preview of the physical form factor and integration level of the server processors that will power the AI data centers of the late 2020s. EMIB is the enabling technology that will allow the logical concept of a disaggregated data center to be physically consolidated into a single, hyper-dense “system-in-package.”
5.4. Concluding Analysis: EMIB’s Enduring Role in Architecting the Next Generation of Silicon
Intel’s Embedded Multi-die Interconnect Bridge has firmly established itself as a cornerstone of modern semiconductor packaging. Its unique value proposition lies in its pragmatic balance of performance, cost, and scalability. By localizing the high-density interconnect within a small, embedded silicon bridge, EMIB delivers the high-bandwidth, low-latency communication required for chiplet-based designs without the prohibitive cost and scale limitations of full silicon interposers.3
The technology has proven to be remarkably versatile, evolving from a solution for integrating heterogeneous I/O in FPGAs to the fundamental fabric for scaling out massive data center CPUs and GPUs. Its true power, however, is realized not in isolation but as a key component of a hybrid 3.5D system in conjunction with Foveros 3D stacking. This combination provides system architects with an unparalleled toolkit to create systems of previously unimaginable complexity and scale, as exemplified by the Ponte Vecchio GPU.3
Looking ahead, EMIB is not merely a transitional technology but a foundational pillar for the future of semiconductor design. Its alignment with the open UCIe standard positions it as a key platform for the entire industry, while its aggressive scaling roadmap provides a clear path to meeting the voracious demands of AI and high-performance computing. As the industry moves irrevocably towards a disaggregated, chiplet-based future, the principles of localized, high-density, and cost-effective interconnects pioneered by EMIB will become increasingly central to architecting the next generation of silicon.
