Beyond Vision-Zero: Hardware-Software Co-Design for the Next Generation of Automotive AI Accelerators

Executive Summary

The automotive industry is undergoing a profound transformation, moving beyond the era of the “software-defined vehicle” (SDV) to the more advanced paradigm of the “AI-defined vehicle.” In this new landscape, the core functionality, safety, and user experience of a vehicle are dictated by the capabilities of its artificial intelligence systems. This shift has rendered traditional, bifurcated design cycles—where hardware is developed in isolation from software—obsolete. The immense computational demands of next-generation AI workloads, coupled with the unforgiving constraints of the automotive environment, have made hardware-software co-design an absolute imperative. This report provides an exhaustive analysis of this paradigm shift, examining the architectural strategies and technological innovations that are shaping the future of automotive AI accelerators, moving decisively beyond the benchmarks set by Tesla’s current-generation Full Self-Driving (FSD) chips.

The analysis reveals several key architectural trends that define the post-FSD competitive landscape. A clear bifurcation in strategy is emerging between a “maximalist” approach, exemplified by NVIDIA’s DRIVE Thor platform, which aims to create a centralized “data center on wheels” with massive, general-purpose compute power, and a “pragmatist” approach, championed by Mobileye’s EyeQ series, which focuses on hyper-efficient, lean, and specialized heterogeneous accelerators for vision-centric tasks. Between these poles, companies like Qualcomm and Ambarella are carving out distinct niches with scalable, open platforms and deeply integrated, proprietary toolchains, respectively.

This report establishes that raw performance, measured in Trillions of Operations Per Second (TOPS), is becoming an increasingly insufficient metric for success. Instead, market leadership will be determined by a more nuanced set of co-design principles. These include achieving superior performance-per-watt, fostering a mature and flexible software ecosystem through open Software Development Kits (SDKs), and demonstrating unwavering compliance with the stringent functional safety requirements of ISO 26262. Functional safety, in particular, has evolved from a final validation step to a foundational element of the co-design process, influencing every decision from processor core architecture to software partitioning.

Looking forward, the report explores the next frontier of silicon innovation, including modular chiplet-based designs, Processing-in-Memory (PIM) to overcome the data movement bottleneck, and brain-inspired neuromorphic computing for ultra-low-latency, event-driven processing. The successful integration of these future paradigms will depend not on hardware innovation alone, but on the concurrent development of the sophisticated compilers, real-time operating systems, and safety mechanisms that form the bedrock of the AI-defined vehicle. Ultimately, the path to fully autonomous, safe, and efficient mobility lies in the deep, synergistic integration of hardware and software.

Section 1: The Co-Design Imperative in AI-Defined Vehicles

 

The transition toward fully autonomous driving represents one of the most complex engineering challenges of the modern era. At its core is the need to process vast amounts of sensor data through increasingly sophisticated AI models to make safety-critical decisions in real time. This has catalyzed a fundamental shift in how automotive electronics are designed, moving away from siloed components toward deeply integrated systems where hardware and software are developed in tandem. This section establishes the foundational principles of this hardware-software co-design approach and delineates the unique environmental constraints of the automotive sector that make it an absolute necessity.

 

1.1. Defining Hardware-Software Co-Design: From a Bifurcated Approach to Synergistic Integration

 

Hardware-software co-design is a system design methodology that involves the simultaneous, collaborative, and iterative development of both hardware and software components to achieve system-level objectives.1 This stands in stark contrast to the traditional, sequential approach where hardware is designed first as a general-purpose platform, and software is subsequently optimized to run within its predefined constraints.3 The primary goals of co-design are to maximize performance metrics like throughput, minimize latency for real-time operations, reduce power consumption, and lower overall system cost by creating a highly tailored and optimized infrastructure for a specific set of workloads, such as AI inference.1

The most significant driver of this paradigm shift has been the rapid and continuous evolution of AI algorithms. AI models are changing far more quickly than silicon development cycles can accommodate, rendering the hardware-first approach untenable.4 Consequently, the design hierarchy has inverted. Modern automotive system design is increasingly a top-down, software-first process where the specific computational and data-flow requirements of complex neural networks dictate the necessary hardware architecture.3 This software-driven approach is typified by the development of custom AI inference engines and heterogeneous compute architectures. The process is further accelerated by advanced tooling, including High-Level Synthesis (HLS), which enables the automatic generation of hardware accelerators directly from high-level software or algorithmic descriptions, significantly shortening the design and optimization cycle.3

 

1.2. The Unforgiving Automotive Environment: Analyzing the Triple Constraint

 

The need for hardware-software co-design is acutely amplified by the unique and severe constraints of the automotive environment. Unlike data center or consumer electronics, automotive systems must operate flawlessly under a “triple constraint” of power efficiency, real-time performance, and functional safety.

  • Power and Thermal Efficiency: In any vehicle, but especially in battery-powered electric vehicles (EVs), the power budget for electronics is strictly limited. Every watt consumed by an AI accelerator can directly reduce the vehicle’s driving range, a critical factor for consumers.6 Furthermore, the dense packaging of modern ECUs leaves limited physical space for active cooling solutions, making thermal management a first-class design concern.7 An AI chip that generates excessive heat risks component degradation and system instability. This relentless pressure for performance-per-watt drives co-design strategies that optimize both the hardware architecture (e.g., using specialized, energy-efficient processing units) and the software (e.g., using model optimization techniques like quantization and pruning to reduce the computational load).6
  • Real-Time Performance and Determinism: Autonomous driving is the quintessential real-time application. The system must perceive its environment, make a decision, and actuate the vehicle’s controls within milliseconds to ensure safety.2 This requires not only high performance but also
    determinism—the guarantee that a task will complete within a predictable and bounded timeframe, every time.10 This is fundamentally different from best-effort computing. Achieving determinism is a co-design challenge that necessitates a Real-Time Operating System (RTOS) to manage task scheduling with strict priority control, tightly integrated with hardware that can execute these tasks with minimal and predictable latency.12
  • Functional Safety (ISO 26262): The automotive industry is governed by ISO 26262, an international standard for the functional safety of electrical and electronic systems.17 The standard’s goal is to mitigate unreasonable risk caused by system malfunctions. It defines a rigorous, lifecycle-based process for hazard analysis, development, verification, and validation. Systems are classified according to Automotive Safety Integrity Levels (ASILs), from ASIL A (lowest risk) to ASIL D (highest risk), which dictates the level of rigor required in the design process.18 Achieving compliance, particularly for high-risk ASIL D systems like steer-by-wire, is a deep co-design problem that requires a holistic approach to safety, encompassing hardware fault-tolerance mechanisms, software partitioning, and robust diagnostic routines.

The evolution from the SDV to the AI-defined vehicle is a direct consequence of these constraints. While the SDV paradigm focuses on decoupling software from hardware to enable over-the-air (OTA) updates, the AI-defined vehicle recognizes that the computational demands of advanced AI are so extreme that they necessitate a fundamental recoupling of software and hardware at the design stage.4 The AI model’s architecture now

defines the required hardware architecture from the outset, making deep, synergistic co-design the only viable path forward.

 

1.3. The Evolution of AI Workloads: From CNNs to Vision Transformers

 

The software driving the need for new hardware is also in a state of rapid evolution. Early automotive vision systems were dominated by Convolutional Neural Networks (CNNs), which are highly effective at spatial feature extraction tasks like object detection and semantic segmentation. However, the industry is increasingly adopting more sophisticated architectures, most notably Vision Transformers (ViTs), to better understand the complex and dynamic context of a driving scene.24 Tesla’s own software stack, for example, has evolved beyond pure CNNs to a hybrid topology that fuses convolutional perception with attention mechanisms and temporal models to correlate information across multiple frames of video.12

This architectural shift has profound implications for hardware co-design. Transformer networks rely on a mechanism called scaled dot-product attention, which allows the model to weigh the importance of different parts of an input (e.g., different patches of an image) to learn long-range dependencies.24 While incredibly powerful for contextual understanding, this mechanism has a computational complexity that scales quadratically with the sequence length, creating immense memory and compute bottlenecks that are challenging for hardware optimized purely for CNNs.24 This software evolution is directly forcing a co-evolution in hardware. A prime example is NVIDIA’s DRIVE Thor platform, which is the first automotive SoC to incorporate a dedicated “inference transformer engine.” This specialized hardware block is co-designed to accelerate the specific mathematical operations of transformer networks, promising a performance increase of up to 9x for these critical workloads.25 This demonstrates the tight feedback loop of modern co-design: a new software paradigm emerges, creating a new performance bottleneck, which in turn drives the creation of a new, specialized hardware accelerator.

Section 2: Establishing the Benchmark: Tesla’s FSD Architecture and Its Limitations

 

Before exploring the next generation of automotive AI accelerators, it is essential to analyze the platform that catalyzed the industry’s shift toward custom silicon: Tesla’s Full Self-Driving (FSD) computer. Tesla’s vertical integration and in-house chip design serve as the critical benchmark against which all competitors are measured. Understanding the co-design principles of Tesla’s hardware, as well as its inherent limitations, provides the necessary context for evaluating the divergent strategies of its rivals.

 

2.1. Architectural Deep Dive: The Custom NPU, Memory Subsystem, and Software Stack of HW3 & HW4

 

Tesla’s journey into custom silicon was born of necessity. Early Autopilot versions relied on third-party hardware, first from Mobileye (EyeQ3) and later from NVIDIA (DRIVE PX 2).27 However, Tesla engineers concluded that these off-the-shelf solutions could not provide the required data throughput, low latency, and power efficiency needed for their ambitious FSD software.12 This led to the in-house development of the FSD computer, with Hardware 3.0 (HW3) entering production in 2019.

The HW3 board is a fully redundant system, featuring two independent, custom-designed SoCs. Each SoC contains 12 ARM Cortex-A72 CPUs running at 2.2 GHz, a Mali GPU for post-processing, and the centerpiece of the design: two custom Neural Network Accelerators (NPUs).28 The entire redundant board delivers a total of 144 TOPS while consuming approximately 72 watts of power.

The Tesla NPU is a masterclass in hardware-software co-design, hyper-optimized for Tesla’s specific neural network workloads. It is not a general-purpose systolic array like Google’s TPU. Instead, each NPU core features a 96×96 grid of independent Multiply-Accumulate (MAC) units designed for 8-bit integer operations.30 This choice directly reflects Tesla’s software toolchain, which pre-quantizes its neural networks to 8-bit integers before deployment. The NPU’s instruction set architecture (ISA) is remarkably lean, with only eight core instructions focused on DMA, dot-products, and element-wise operations. To combat the memory bottleneck, each NPU is equipped with a large 32 MiB SRAM cache, designed to hold all necessary weights and activations to minimize power-hungry off-chip DRAM access during inference.30 The entire design is optimized for a batch size of one, a critical safety consideration that minimizes latency by processing each camera frame as quickly as possible rather than waiting to group frames into a larger batch.30

Hardware 4.0 (HW4), which began shipping in 2023, is a significant evolutionary step. While based on the same core architecture, it features key upgrades. The SoC is fabricated on a more advanced process node (estimated 7nm), and the CPU core count is increased to 20 per SoC.28 Each SoC now contains three NPU cores instead of two, and the clock speed is increased, boosting raw computational performance by an estimated 2-4 times over HW3.28 Perhaps most critically, the memory subsystem was overhauled, moving from LPDDR4 to GDDR6, which increased memory bandwidth by approximately 3.3 times to 224 GB/s.33 This disproportionate increase strongly suggests that HW3 was often memory-bandwidth-limited, with its powerful NPUs frequently waiting for data. The HW4 upgrade also includes a new sensor suite with higher-resolution 5-megapixel cameras (up from 1.2MP) and the reintroduction of a high-definition “Phoenix” radar, providing richer input data for the more powerful computer.28

Redundancy remains a foundational principle across both hardware generations. The FSD computer contains two independent SoCs, each with its own power supply and data paths from the cameras. The system can continue to operate safely even in the event of a complete failure of one SoC.29

 

2.2. A Co-Design Case Study: How Tesla’s Software-First Approach Shaped Its Silicon

 

The FSD computer is a clear manifestation of a software-first design philosophy. The hardware was not built to run any neural network; it was built to run Tesla’s neural networks with maximum efficiency.

  • Workload-Specific Optimization: The NPU’s 8-bit integer MAC array and streamlined ISA are direct consequences of Tesla’s software strategy. By controlling the entire software stack, Tesla can ensure its models are quantized in a way that is perfectly optimized for the underlying hardware, a level of synergy impossible with off-the-shelf components.30
  • Integrated Stack: The co-design extends through the entire system. Tesla employs a custom Real-Time Operating System (RTOS) kernel to guarantee deterministic scheduling, ensuring that safety-critical threads like emergency braking are executed with sub-5ms latency.12 The low-level drivers that program and communicate with the chip are also custom-built, focusing on performance optimization and redundancy.35
  • The Data Feedback Loop: The most powerful element of Tesla’s co-design strategy is its fleet of millions of vehicles, which acts as a massive data-gathering and validation engine. This “data engine” allows Tesla to iteratively source rare and complex driving scenarios (“edge cases”), use them to create new training data, and retrain its neural networks.35 The evolving demands of these increasingly complex networks then directly inform the requirements for future hardware generations, creating a continuous, closed-loop co-design cycle between fleet data, software, and hardware.

 

2.3. Identifying the Performance Ceiling: The Constraints Driving the Need for New Paradigms

 

Despite its pioneering success, Tesla’s FSD architecture is not without limitations. These constraints, both technical and strategic, are what competitors are now seeking to address and surpass with their own co-design approaches.

  • Computational Headroom and Model Flexibility: While HW4 represents a significant performance leap, competitors are announcing next-generation platforms with an order of magnitude more raw compute power. NVIDIA’s DRIVE Thor, for instance, targets 2,000 TOPS.33 This suggests a belief in the industry that the computational requirements for true Level 4/5 autonomy, especially with the rise of compute-hungry transformer models, will exceed the capabilities of Tesla’s current architecture. The hyper-specialization of Tesla’s NPU for its CNN-centric networks, while efficient, may also prove to be a strategic liability. It is less flexible for running fundamentally different types of AI models compared to the more general-purpose GPU-based architectures of competitors, which are explicitly designed to handle a wider variety of future AI workloads.33
  • Sensor Suite Philosophy: Tesla’s period of pursuing a “vision-only” approach, removing radar from its sensor suite, was controversial. This strategy places an immense computational burden on the vision processing hardware and has inherent physical limitations in adverse weather conditions where radar or lidar can provide crucial redundancy.12 While HW4 reintroduces a high-definition radar, the core software stack remains heavily reliant on vision, a design choice that differs from the multi-modal sensor fusion approach favored by nearly all competitors.
  • Practical and Commercial Limitations: The co-design choices have practical consequences. The significant change in form factor between HW3 and HW4 makes it impossible to retrofit older vehicles with the new computer, creating a hardware fragmentation within the Tesla fleet.28 Furthermore, teardowns of HW4 have revealed apparent cost-cutting measures, such as the use of cheaper non-Error-Correcting Code (ECC) RAM for the infotainment portion of the system, which could be viewed as a compromise on system-level reliability.28

Tesla’s FSD platform was an “existence proof” that established the viability and competitive advantage of in-house, co-designed AI hardware for automotive. It forced the entire industry to abandon off-the-shelf solutions and invest in custom silicon. However, its highly bespoke and vertically integrated nature is also its primary strategic vulnerability. As AI software continues its rapid evolution, Tesla is tied to a hardware architecture that may not be flexible enough to adapt without another costly and time-consuming silicon revision. This has created a critical window of opportunity for competitors to leapfrog Tesla not just on raw performance, but on architectural flexibility and adaptability for the AI models of the future.

Section 3: The Ascendant Architectures: A Competitive Analysis of Post-FSD Accelerators

 

In the wake of Tesla’s vertical integration, the semiconductor and automotive industries have responded with a new generation of powerful, scalable, and flexible AI platforms. These are not mere imitations of Tesla’s approach but represent distinct and competing co-design philosophies. This section provides a deep comparative analysis of the leading architectures from NVIDIA, Qualcomm, Mobileye, and Ambarella, dissecting their hardware, software, and safety strategies to reveal the future trajectories of automotive AI compute.

 

3.1. NVIDIA DRIVE Thor: The Data Center in a Car

 

NVIDIA’s strategy is rooted in a philosophy of centralization and overwhelming computational power. The DRIVE Thor platform is architected as a single, centralized “superchip” designed to consolidate the functions of dozens of disparate ECUs—from safety-critical automated driving (AD) and ADAS to the digital instrument cluster and in-vehicle infotainment (IVI)—onto one SoC.25 This approach aims to dramatically reduce system cost, weight, cabling complexity, and supply chain challenges.25

  • Hardware Architecture: At its heart, DRIVE Thor is a computational behemoth, delivering up to 2,000 TOPS of performance for AI workloads.25 It achieves this through a heterogeneous design that integrates NVIDIA’s most advanced technologies: a next-generation GPU based on the Blackwell architecture, a high-performance Grace CPU, and an Arm Neoverse CPU core.25 A pivotal innovation is the inclusion of a dedicated
    inference transformer engine, a new component within the GPU’s Tensor Cores specifically co-designed to accelerate the performance of transformer and large language model (LLM) workloads, which are becoming central to next-generation autonomous systems.25 To enable even greater scale, two Thor SoCs can be connected via the NVLink-C2C interconnect to function as a single, monolithic platform.25
  • Software and Co-Design: The platform’s power is unlocked by NVIDIA’s mature and extensive software ecosystem. NVIDIA DriveOS serves as the safety-certified foundation, featuring a hypervisor that allows multiple guest operating systems (e.g., QNX for real-time ADAS, Android Automotive for IVI, and Linux for other functions) to run concurrently in isolated partitions on the same chip.26 The software stack includes the
    CUDA parallel programming model and TensorRT, an inference compiler and runtime that optimizes trained neural networks to execute with maximum efficiency on the specific hardware features of the Blackwell GPU, including the new transformer engine.40 This co-designed stack provides a unified architecture, allowing developers to train models in the data center on NVIDIA DGX systems and seamlessly deploy them for inference in the vehicle, drastically accelerating development cycles.43
  • Functional Safety: DRIVE Thor is architected from the ground up for ISO 26262 ASIL-D compliance.25 Its ability to support multi-domain computing with strong isolation between safety-critical and non-critical functions is the key enabler for its consolidation strategy, ensuring that an issue in the infotainment system cannot compromise the autonomous driving stack.25

 

3.2. Qualcomm Snapdragon Ride: A Strategy of Scalability and Heterogeneity

 

Qualcomm’s approach is defined by openness, scalability, and modularity, positioning the Snapdragon Ride platform as a flexible foundation for automakers and Tier-1 suppliers. Rather than a single, monolithic solution, it is a family of SoCs and software designed to scale from entry-level New Car Assessment Program (NCAP) features to high-end, hands-off autonomous driving.45

  • Hardware Architecture: The cornerstone of the platform is a heterogeneous compute architecture. The Snapdragon Ride Flex SoC is a key innovation, designed to support mixed-criticality workloads on a single piece of silicon, allowing automakers to co-locate functions like the digital cockpit, driver monitoring, and ADAS to reduce the bill of materials and system complexity.45 The hardware integrates a custom, high-performance Qualcomm Oryon CPU, a powerful Hexagon NPU (Neural Processing Unit) optimized for AI workloads, and a dedicated “Safety Island”—a security-focused processing unit that monitors and supports real-time, safety-critical vehicle functions.45 This design allows different software tasks to be mapped to the most power-efficient and performant hardware block.
  • Software and Co-Design: Qualcomm’s co-design philosophy emphasizes enabling its partners. The comprehensive Snapdragon Ride SDK provides a crucial abstraction layer, allowing developers to build safety-certified applications without needing intimate knowledge of the underlying hardware blocks.46 The SDK includes a full suite of tools: a Board Support Package (BSP) with drivers, an AI SDK for compiling neural networks to run efficiently on the Hexagon NPU, optimized ADAS APIs for common functions, and tools for profiling and debugging.48 This open platform strategy allows automakers to either develop their own proprietary software stacks or choose from a wide ecosystem of pre-integrated solutions from Qualcomm’s partners, offering maximum flexibility.45
  • Functional Safety: The Snapdragon Ride platform is designed to meet stringent automotive safety standards, including ISO 26262 ASIL-D.47 This is achieved through co-designed hardware features like the Safety Island, hardware-based isolation, and support for redundant fail-safe mechanisms, which are managed by the software stack.

 

3.3. Mobileye EyeQ6: The Vision-Centric Philosophy and Its Lean Accelerator Ecosystem

 

Mobileye’s strategy is one of extreme efficiency through deep specialization. The EyeQ family of SoCs is co-designed with a relentless focus on processing computer vision data with the highest possible performance-per-watt.50 The architecture is not designed to win on raw TOPS but to deliver state-of-the-art vision processing for ADAS and autonomous systems within an exceptionally low power and cost envelope.50

  • Hardware Architecture: The EyeQ6 SoC, available in a base “Lite” version and a premium “High” version, employs a deeply heterogeneous architecture composed of multiple, diverse, purpose-built accelerators.50 Rather than using a large, general-purpose GPU, the EyeQ6 distributes tasks among specialized cores, including: general-purpose CPUs, a Multi-threaded Processor Cluster (MPC), a Vector Microcode Processor (VMP) for common vision algorithms, a Programmable Macro Array (PMA) for dense computer vision tasks, and a dedicated Deep Learning Accelerator (XNN) for neural networks.50 This disaggregated approach ensures that each part of the vision pipeline runs on the most efficient possible hardware.
  • Software and Co-Design: Historically, Mobileye’s hardware has been tightly coupled with its own proprietary and highly optimized computer vision algorithms, offered as a “black box” solution.50 However, recognizing the industry’s shift toward the SDV, Mobileye has introduced the
    EyeQ Kit SDK. This pivotal software offering opens the platform, allowing automakers to deploy their own custom applications, particularly for driver monitoring (DMS) and unique human-machine interfaces (HMI), on top of Mobileye’s proven core vision stack.50 The SDK supports industry-standard tools like OpenCL and TensorFlow, enabling customers to become co-design partners in shaping the final in-vehicle experience.53
  • Functional Safety: Mobileye’s safety philosophy is rooted in creating true redundancy through independent subsystems. For example, a system might use a camera-only perception stack running on one set of hardware and a separate radar-and-lidar-based perception stack running on another, with the final driving policy based on the fused output of these two independent channels.56

 

3.4. Ambarella CVflow: A Deeply Integrated Co-Design of Vision Hardware and a Specialized Toolchain

 

Ambarella’s strategy is to provide a complete, deployable, and highly efficient system for intelligent edge cameras, with a strong focus on the automotive market. Their co-design philosophy is centered on the tight integration of a dedicated vision processing engine with a proprietary software compiler and toolchain.57

  • Hardware Architecture: The Ambarella CVflow architecture is not a general-purpose processor but a dedicated, programmable vision engine designed from the ground up for computer vision and AI inference.57 The CV3-AD family of automotive AI domain controller SoCs scales this architecture to handle the full range of ADAS and autonomous driving functions, capable of centrally processing inputs from multiple sensors.58
  • Software and Co-Design: The synergy between hardware and software is most evident in Ambarella’s toolchain. Customers can train their neural networks using standard frameworks like TensorFlow or PyTorch. The model is then fed into Ambarella’s CNN Generation tool, which automatically optimizes it for the CVflow hardware using techniques like sparsification (removing unnecessary connections) and quantization (reducing numerical precision). A proprietary compiler then converts this optimized network into a high-level program, represented as a DAG (Directed Acyclic Graph) executable binary, which is then run on the CVflow engine.57 This end-to-end, deeply integrated process ensures that the software is perfectly mapped to the hardware’s unique capabilities, maximizing performance and efficiency. The recently announced Cooper Developer Platform provides a comprehensive SDK and hardware kits to support this workflow.59
  • Functional Safety: Ambarella’s automotive SoCs are developed with internal processes and procedures to ensure compliance with the ISO 26262 functional safety standard.57

This competitive analysis reveals a clear bifurcation in the market. On one side are “Maximalists” like NVIDIA, pursuing a strategy of providing massive, flexible compute power to future-proof their platform against any conceivable AI workload. On the other are “Pragmatists” like Mobileye, who focus on delivering extreme efficiency for today’s well-understood vision workloads. This divergence suggests that there is no single consensus on the future of automotive compute; the winning approach will likely depend on an automaker’s specific strategy, cost targets, and desired level of software differentiation.

 

3.5. Table 1: Comparative Analysis of Leading Automotive AI SoCs

 

The following table provides a comparative overview of the key architectural and performance characteristics of the leading next-generation automotive AI platforms, distilling the distinct co-design strategies and technological bets being made by each company.

 

Feature Tesla FSD HW4 NVIDIA DRIVE Thor Qualcomm Snapdragon Ride Flex Mobileye EyeQ6 High Ambarella CV3-AD
Peak AI Performance ~122 TOPS (INT8) per board (est.) Up to 2000 TOPS (FP4/INT8) Scalable (not specified) 34 DL TOPS (INT8) Up to 40x CV2 (not specified)
Power Consumption ~160W per board (est.) ~350W TDP (DevKit) Low-power (not specified) Low-power (not specified) High performance-per-watt
Process Node 7nm (est.) Blackwell Arch. (node not specified) Not specified 7nm Not specified
Key Compute Cores 20x ARM A72 CPU, 3x custom NPU per SoC Grace CPU, Blackwell GPU, Arm Neoverse CPU Oryon CPU, Hexagon NPU, Safety Island Heterogeneous: CPU, MPC, VMP, PMA, XNN CVflow AI Engine, ARM CPUs
Memory Architecture 16GB GDDR6, 224 GB/s 64GB LPDDR5X, 273 GB/s Not specified Not specified Not specified
Target ASIL Level Redundancy-focused (ASIL not specified) ASIL-D ASIL-D Not specified ISO 26262 Compliant
Key Co-Design Feature Vertically integrated, NPU hyper-specialized for Tesla NNs Centralized, multi-domain compute; Transformer Engine Scalable, open platform for mixed-criticality workloads Lean, vision-first heterogeneous accelerator ecosystem Tightly coupled vision engine and proprietary compiler toolchain
Cited Snippets 28 25 45 50 57

Section 4: Future Silicon Paradigms for Autonomous Systems

 

While the current generation of AI accelerators represents a significant leap forward, the relentless pace of AI research and the escalating demands of full autonomy are already pushing the limits of conventional SoC design. To overcome fundamental bottlenecks in scalability, memory access, and power consumption, the industry is actively exploring several next-generation silicon paradigms. These approaches—chiplets, Processing-in-Memory, and neuromorphic computing—are not merely incremental improvements but represent potential architectural disruptions that will redefine the co-design landscape for automotive AI.

 

4.1. The Chiplet Revolution: Modularity, Scalability, and Customization

 

The traditional approach to SoC design involves integrating all functional units—CPUs, GPUs, accelerators, memory controllers—onto a single, monolithic piece of silicon. The chiplet-based design paradigm fundamentally challenges this model. It involves disaggregating the SoC into smaller, specialized, and independently manufactured dies, or “chiplets,” which are then integrated into a single package using advanced packaging techniques.23

This modular approach offers several compelling advantages for automotive AI accelerators. First, it dramatically improves manufacturing yield; smaller dies are statistically less likely to contain defects than large monolithic ones, reducing costs and improving supply chain resilience.61 Second, it enables unprecedented scalability and customization. Automakers and Tier-1 suppliers can “mix and match” chiplets to create a family of products with varying performance levels from a common set of building blocks, without requiring a full redesign for each variant.23 This is ideal for the AI-defined vehicle, allowing for tailored solutions for different vehicle segments. Third, it allows for heterogeneous integration, combining chiplets manufactured on different process technologies—for example, a high-performance AI accelerator on a cutting-edge 3nm node could be packaged with an I/O chiplet on a more mature and cost-effective node.61

The viability of this ecosystem hinges on standardization. The Universal Chiplet Interconnect Express (UCIe) is a critical open standard that defines the die-to-die interconnect, enabling interoperability between chiplets from different vendors.23 The development of automotive-grade UCIe IP with ISO 26262 certification is a key enabler for adopting this technology in safety-critical systems.23 The co-design process is also transformed, shifting focus from single-die layout to system-level integration, which necessitates advanced virtual prototyping and “shift-left” software development to validate the complex interactions between chiplets long before physical hardware is available.23

 

4.2. Processing-in-Memory (PIM): A Radical Approach to the Memory Bottleneck

 

A fundamental limitation of conventional computer architectures is the “von Neumann bottleneck,” which refers to the significant time and energy wasted shuttling data between separate processing and memory units.62 AI workloads, which involve massive matrix operations, are particularly susceptible to this bottleneck, often being limited by memory bandwidth rather than raw compute power.

Processing-in-Memory (PIM) offers a radical solution by integrating computational capabilities directly within or near the memory subsystem.62 This paradigm minimizes data movement by performing calculations where the data resides. PIM can be implemented in several ways, including leveraging the analog physical properties of memory cells in technologies like DRAM or emerging non-volatile memories (NVMs) like ReRAM to perform massively parallel operations such as bulk bitwise logic or matrix-vector multiplications.62

For automotive AI, the potential benefits of PIM are enormous. By drastically reducing data movement, PIM architectures promise significant improvements in energy efficiency and latency—two of the most critical constraints in the automotive environment.63 However, effectively harnessing PIM requires a complete rethinking of the traditional computing stack. It is not a drop-in replacement for a CPU or GPU. The co-design challenge is immense, requiring new programming models, compilers that can intelligently partition applications into parts that run on conventional cores and data-intensive kernels that are offloaded to the PIM hardware, and new memory controller designs.64

 

4.3. Neuromorphic Computing: Event-Driven Sensing and Processing

 

Neuromorphic computing represents another brain-inspired paradigm shift, moving away from the synchronous, clock-based processing of conventional computers to an asynchronous, event-driven model that mimics the brain’s neural structure.65 These systems use Spiking Neural Networks (SNNs), where information is encoded in the timing of discrete events or “spikes,” rather than in continuous numerical values. This approach is inherently energy-efficient, as computation only occurs when a new event (spike) arrives, resulting in ultra-low power consumption and extremely low latency.65

This processing model pairs naturally with event-based sensors, such as Dynamic Vision Sensors (DVS) or “silicon retinas.” Unlike traditional cameras that capture and transmit dense frames of pixels at a fixed rate (e.g., 30 times per second), DVS cameras only report events from individual pixels that detect a change in brightness.65 This results in a sparse, low-redundancy data stream that is ideal for tasks involving motion detection and tracking, significantly reducing the amount of data that needs to be processed.

In the automotive context, the combination of event-based sensing and neuromorphic processing is highly promising for real-time, safety-critical tasks like collision avoidance, pedestrian detection, and adaptive vehicle control.65 The primary co-design challenges in this nascent field are substantial. They involve developing robust algorithms for encoding real-world sensor data into meaningful spike trains, creating effective training methodologies for SNNs (which are fundamentally different from traditional deep neural networks), and co-designing the SNN algorithms and the specialized neuromorphic hardware (such as Intel’s Loihi 2 or Brainchip’s Akida) to work in perfect synergy.65

These future paradigms are not necessarily mutually exclusive. The most likely path forward for automotive AI accelerators is a hyper-heterogeneous architecture that leverages the strengths of each. One can envision a future SoC, assembled from chiplets, that integrates conventional CPU/GPU cores, a PIM accelerator for large model components, and a neuromorphic co-processor for ultra-fast, low-power reaction to critical events. The ultimate success of such a system will be determined not just by the brilliance of the individual hardware concepts, but by the sophistication of the co-designed software stack and tools that can orchestrate this immense complexity.

Section 5: The Software and Safety Foundation

 

Advanced silicon is only one half of the co-design equation. The performance, reliability, and safety of an automotive AI accelerator are ultimately realized through a sophisticated software stack and a rigorous safety methodology that are developed in concert with the hardware. This section examines the critical software and safety layers—from compilers and real-time operating systems to the implementation of ISO 26262—that form the foundation of a modern, safety-certified automotive compute platform.

 

5.1. Compiling for Complexity: Toolchains and SDKs for Heterogeneous Hardware

 

Modern automotive SoCs are not single processors but complex, heterogeneous systems comprising a diverse array of processing elements, including multi-core CPUs, GPUs, NPUs, and Digital Signal Processors (DSPs).7 The central co-design challenge is to efficiently and correctly map a complex software application, such as an autonomous driving stack, across these varied compute resources to maximize performance and efficiency.67

This task falls to advanced compilers and software toolchains, which are a critical part of the co-design process. These tools must be able to analyze the software application, partition it into sub-tasks, and compile optimized code for each specific target core, all while managing the intricate data dependencies and communication between them.67 For instance, Renesas provides an R-Car DNN Compiler, which extends the open-source Apache TVM compiler framework to automatically apply program optimizations tailored to the unique deep learning hardware on its R-Car SoCs.68

To address the challenge of programming these diverse architectures and avoid vendor lock-in, open standards are becoming increasingly important. SYCL, a royalty-free standard from the Khronos Group, provides a high-level, C++-based programming model that allows developers to write code for heterogeneous systems (including CPUs, GPUs, and FPGAs) that is portable across different hardware vendors.69 Recognizing the unique needs of the automotive industry, the SYCL Safety-Critical (SC) Working Group is actively developing a variant of the standard that aligns with safety certification requirements like ISO 26262.69

The co-design loop is being closed even further by the advent of techniques like Neural Architecture Search (NAS). Tools such as Renesas’ R-Car NAS go beyond simply compiling an existing model; they automatically search for and generate a neural network architecture that is inherently optimized for the performance characteristics and constraints of the target hardware, ensuring peak efficiency from the very beginning of the software design process.68

 

5.2. The Mandate for Determinism: Real-Time Operating Systems (RTOS)

 

In safety-critical automotive systems, the logical correctness of a computation is insufficient; the result must also be delivered within a strict, predictable time window.11 A delayed command to the braking system, for example, can be catastrophic. This is why automotive AI platforms rely on a Real-Time Operating System (RTOS). An RTOS is specifically designed to manage tasks with precise timing control and guarantee that high-priority, critical tasks always meet their deadlines deterministically.10

An RTOS employs deterministic scheduling algorithms, such as Rate-Monotonic Scheduling (RMS) or Earliest Deadline First (EDF), to manage the execution of multiple tasks.13 These algorithms provide mathematical guarantees about the system’s timing behavior, which is essential for safety certification. As automotive architectures move toward centralized compute, a key challenge is managing

mixed-criticality systems, where safety-critical tasks (e.g., perception, planning) must coexist on the same hardware as non-critical tasks (e.g., infotainment). This is a co-design problem solved by using a hypervisor in conjunction with the RTOS to create strongly isolated partitions. This ensures that a fault or delay in a low-criticality partition cannot interfere with the execution of a high-criticality one. The system may use a hybrid scheduling approach, employing event-driven scheduling for low-latency sensor processing and time-triggered scheduling for predictable actuator commands, ensuring both responsiveness and safety.10

 

5.3. Achieving ISO 26262 ASIL-D: Co-Design Strategies for Functional Safety

 

ISO 26262 compliance is the ultimate expression of hardware-software co-design in the automotive domain. It is not a feature that can be added late in the design cycle but a rigorous methodology that must be integrated throughout the entire product lifecycle, from initial concept to final decommissioning.17 Achieving the highest level, ASIL D, requires a deeply synergistic approach to detecting and mitigating both systematic (design-time) and random (in-field) faults.

A core principle of ISO 26262 for mixed-criticality systems is ensuring “freedom from interference.” This means that a software component with a lower ASIL rating (or one developed only to Quality Management standards) must be prevented from causing a failure in a higher-ASIL component running on the same SoC.71 This is achieved through a co-design of hardware-enforced memory protection units (MPUs) and software-based partitioning mechanisms managed by the hypervisor or RTOS.71

To handle random hardware faults that can occur during operation (e.g., due to radiation or silicon aging), ASIL D systems must implement a high degree of fault tolerance, which is achieved through various forms of redundancy co-designed into the system:

  • Hardware Redundancy: The most common technique for ASIL D is the use of Dual-Core Lockstep processors. In this configuration, two identical processor cores execute the same instruction stream in parallel, cycle by cycle. Integrated comparison logic continuously checks that the outputs of both cores are identical. Any mismatch indicates a fault, which is immediately flagged so the system can enter a safe state.73 This provides robust detection of transient and permanent hardware faults.
  • Software Redundancy: To protect against systematic faults (bugs) in the software itself, techniques like N-version programming can be used, where multiple, independently developed software modules perform the same function, and a voter mechanism checks for consensus in their outputs.76
  • Temporal Redundancy: This involves executing the same critical computation at different points in time and comparing the results. This can help mitigate transient faults, such as a temporary voltage drop, that might affect both lockstep cores simultaneously.77

Finally, the software itself plays a critical role in monitoring the health of the hardware through software-based diagnostics. These include Built-In Self-Test (BIST) libraries, such as Memory BIST (MBIST) and Logic BIST (LBIST), which are routines run by the processor at startup and periodically during operation to test the integrity of memory arrays and logic circuits, respectively.78 This continuous interplay—where hardware provides fault detection mechanisms and software manages their execution and response—is the essence of a co-designed functional safety architecture.

 

5.4. Table 2: ISO 26262 ASILs and Co-Design Implications

 

The following table breaks down the different Automotive Safety Integrity Levels (ASILs) and connects them to the increasingly stringent hardware and software co-design techniques required to achieve compliance at each level of risk.

 

ASIL Level Risk Level Example System Key Hardware Co-Design Requirement Key Software Co-Design Requirement Cited Snippets
QM No Safety Requirement Infotainment Display Standard quality management ASPICE-compliant process 71
ASIL A Low Rear-view camera Basic hardware diagnostics (e.g., parity) Structured design, semi-formal verification 20
ASIL B Medium Adaptive Cruise Control ECC on memories, watchdog timers Software partitioning, code coverage analysis, independent testing 73
ASIL C High Anti-lock Braking System Enhanced diagnostics, some redundancy Stricter timing analysis, formal code reviews, static analysis 19
ASIL D Very High Steer-by-wire, Autonomous Braking Full hardware redundancy (e.g., Dual-Core Lockstep), integrated safety monitors, fault injection testing Freedom from interference, highest level of testing rigor, formal verification methods, RTOS with deterministic scheduling 73

Section 6: Synthesis and Strategic Outlook for 2026 and Beyond

 

The landscape of automotive AI acceleration is undergoing a period of intense innovation and strategic divergence. As the industry moves beyond the initial benchmarks set by Tesla, a clear set of principles and technological trajectories are emerging that will define the next generation of autonomous vehicle platforms. The winning strategies will not be based on a single metric but on a holistic and deeply integrated co-design approach that masterfully balances computational performance, power efficiency, software flexibility, and unwavering functional safety.

 

6.1. Comparative Trajectories: The Future of Competing Platforms

 

The analysis of the leading accelerator platforms reveals a bifurcation into two primary philosophies. The “Maximalist” approach, epitomized by NVIDIA’s DRIVE Thor, bets on centralized, high-performance, general-purpose compute. Its strategy is to provide a future-proof platform with enough power and flexibility to handle any conceivable AI workload, including large-scale transformers and generative AI. The co-design challenge here is managing this immense complexity through a sophisticated, safety-certified software stack capable of virtualization and multi-domain isolation.

In contrast, the “Pragmatist” approach, championed by Mobileye, focuses on extreme efficiency for well-defined vision workloads. Its co-design philosophy prioritizes performance-per-watt through a heterogeneous collection of lean, specialized accelerators. This strategy offers a cost-effective and power-efficient solution for current and next-generation ADAS, but may face challenges in adapting to fundamentally new, non-vision-centric AI paradigms.

Platforms like Qualcomm’s Snapdragon Ride are charting a middle path, offering a scalable and open architecture that provides a balance of performance and efficiency. Their emphasis on a flexible SDK and support for mixed-criticality workloads makes them an attractive option for automakers who want to maintain software differentiation without the massive R&D investment required for full vertical integration. The future market will likely support all these strategies, with an automaker’s choice depending on its brand positioning, cost structure, and long-term vision for the AI-defined vehicle.

 

6.2. Key Co-Design Principles for the AI-Defined Vehicle Era

 

Synthesizing the analysis from across the technological landscape, five core principles emerge as critical for success in the next generation of automotive AI accelerator design:

  1. Software-First, Always: The architecture of the AI model must be the genesis of the hardware design process. The computational and data-flow requirements of the software stack should dictate the specifications for the accelerators, memory subsystem, and interconnects, not the other way around.
  2. Embrace Heterogeneity: A “one-size-fits-all” approach to compute is inefficient. The most effective architectures will employ a heterogeneous mix of processing elements—CPUs, GPUs, and specialized accelerators for tasks like vision, transformers, or even neuromorphic processing—to achieve the best system-level performance-per-watt.
  3. Design for Safety from Day One: Functional safety, as defined by ISO 26262, cannot be an add-on. It must be a foundational pillar of the co-design process, influencing decisions from the choice of processor cores (e.g., lockstep) and memory protection to the architecture of the software and RTOS.
  4. The SDK is the Product: In the era of the software-defined vehicle, automakers demand control over the user experience. Therefore, the quality, flexibility, and openness of the Software Development Kit (SDK) are as crucial as the underlying silicon. A robust and well-supported SDK transforms a hardware vendor into a platform partner.
  5. Plan for Modularity and Scalability: The pace of innovation in sensors and AI models is relentless. The hardware architecture must be inherently modular, whether through scalable SoC families or emerging chiplet-based designs, to allow for performance upgrades and adaptation to new requirements without necessitating a complete and costly redesign of the entire platform.

 

6.3. Concluding Remarks: The Path to Pervasive, Safe, and Efficient Automotive Intelligence

 

The journey beyond today’s driver-assistance systems toward true, pervasive autonomy is not a linear race for more TOPS. It is a complex, multi-dimensional engineering challenge that can only be solved through a deep and synergistic hardware-software co-design. The next-generation platforms that will power this transition are already taking shape, defined by their unique approaches to balancing the competing demands of performance, power, cost, and safety.

Future innovations in silicon, such as chiplets, Processing-in-Memory, and neuromorphic computing, hold the promise of overcoming today’s fundamental architectural bottlenecks. However, their adoption will be gated by the co-development of the software ecosystems and tools capable of harnessing their potential. The ultimate victors in this competitive landscape will be the companies that can provide a complete, robust, and trustworthy platform—one that empowers automakers to build the safe, efficient, and intelligent vehicles of the future.

Works cited

  1. AI Hardware-Software Co-Design: Optimizing Performance Together – Arbisoft, accessed on August 4, 2025, https://arbisoft.com/blogs/ai-hardware-software-co-design-optimizing-performance-together
  2. Software-Hardware Co-Design in AI/ML | by Khushi Agarwal | Medium, accessed on August 4, 2025, https://medium.com/@khushiiagarwal/software-hardware-co-design-in-ai-ml-1b7ff6122dd3
  3. Software-Hardware Co-Design Becomes Real – Semiconductor Engineering, accessed on August 4, 2025, https://semiengineering.com/software-hardware-co-design-becomes-real/
  4. Integrated Design for AI: Chip, Software & Systems | Synopsys, accessed on August 4, 2025, https://www.synopsys.com/blogs/chip-design/integrated-design-ai-chip-software.html
  5. Software and Hardware Co-design for Efficient Neural Networks – Apollo, accessed on August 4, 2025, https://www.repository.cam.ac.uk/items/db8ca804-d11f-41f9-aab5-f6f6620762db
  6. Next Generation Highly Power-Efficient AI Accelerator (DRP-AI3): 10x Faster Embedded Processing in Advanced AI for Autonomous Systems – Renesas, accessed on August 4, 2025, https://www.renesas.com/en/document/whp/next-generation-highly-power-efficient-ai-accelerator-drp-ai3-10x-faster-embedded-processing
  7. Automotive AI Hardware: Power and Performance in 2026 – ACL Digital, accessed on August 4, 2025, https://www.acldigital.com/blogs/automotive-ai-hardware-power-performance-2026
  8. Renesas Develops New AI Accelerator for Lightweight AI Models and Embedded Processor Technology to Enable Real-Time Processing, accessed on August 4, 2025, https://www.renesas.com/en/about/newsroom/renesas-develops-new-ai-accelerator-lightweight-ai-models-and-embedded-processor-technology-enable
  9. 18 Months Beats Seven Years: The New Reality of Automotive Development, accessed on August 4, 2025, https://newsroom.arm.com/blog/reducing-automotive-development-cycles
  10. Scheduling Mechanisms and Determinism – ETAS DMS, accessed on August 4, 2025, https://edms.etas.com/explanations/scheduling_mechanisms.html
  11. On Life as an RTOS (Or How My Schedule Found Its Slack) | by Taylor Wayne Presley, accessed on August 4, 2025, https://medium.com/@taylorwpresley/on-life-as-an-rtos-or-how-my-schedule-found-its-slack-9bf5b38f121c
  12. Decoding Tesla’s Core AI and Hardware Architecture: A CEO’s Perspective – Applying AI, accessed on August 4, 2025, https://applyingai.com/2025/07/decoding-teslas-core-ai-and-hardware-architecture-a-ceos-perspective/
  13. How to Implement a Deterministic Scheduler for Real-Time Tasks in Your Firmware – Omi AI, accessed on August 4, 2025, https://www.omi.me/blogs/firmware-features/how-to-implement-a-deterministic-scheduler-for-real-time-tasks-in-your-firmware
  14. The Impact of Using Real-Time Operating System (RTOS) in AI-Integrated Autonomous Vehicles on Efficiency – ResearchGate, accessed on August 4, 2025, https://www.researchgate.net/publication/387739541_The_Impact_of_Using_Real-Time_Operating_System_RTOS_in_AI-Integrated_Autonomous_Vehicles_on_Efficiency
  15. Real-Time OS Requirements for Autonomous Vehicles – Patsnap Eureka, accessed on August 4, 2025, https://eureka.patsnap.com/article/real-time-os-requirements-for-autonomous-vehicles
  16. How to Achieve Deterministic Behavior in Real-Time Embedded Systems – Lance Harvie, accessed on August 4, 2025, https://www.embeddedrelated.com/showarticle/1742.php
  17. Automotive Functional Safety: Ensuring ISO 26262 Compliance – Apriorit, accessed on August 4, 2025, https://www.apriorit.com/dev-blog/automotive-functional-safety-ensuring-iso-26262-compliance
  18. What is ISO 26262 Functional Safety Standard? | Synopsys …, accessed on August 4, 2025, https://www.synopsys.com/glossary/what-is-iso-26262.html
  19. Refining ISO 26262 Practices by adopting GenAI – Tessolve, accessed on August 4, 2025, https://www.tessolve.com/wp-content/uploads/2024/07/Mike-Marmik-DVClub-AI-in-Automotive-Ver0.1.pdf
  20. ISO 26262 Functional Safety: Software Architecture Design Guide by ASIL Level, accessed on August 4, 2025, https://www.hermessol.com/2025/05/23/blog_250503/
  21. The Strategic Synergy of SiMa.ai and Synopsys: A Game-Changer in Automotive AI Hardware-Software Co-Design – AInvest, accessed on August 4, 2025, https://www.ainvest.com/news/strategic-synergy-sima-ai-synopsys-game-changer-automotive-ai-hardware-software-design-2507/
  22. Why Every Automotive CEO Needs an SDV Strategy – Benefits, Architecture, Challenges & More – Appinventiv, accessed on August 4, 2025, https://appinventiv.com/blog/software-defined-vehicle-strategy/
  23. Accelerating Chiplet-Based SoC Design For AI-Defined Vehicles, accessed on August 4, 2025, https://semiengineering.com/accelerating-chiplet-based-soc-design-for-ai-defined-vehicles/
  24. Hardware-Software Co-Design of an In-Memory Transformer Network Accelerator – Frontiers, accessed on August 4, 2025, https://www.frontiersin.org/journals/electronics/articles/10.3389/felec.2022.847069/full
  25. NVIDIA Unveils DRIVE Thor — Centralized Car Computer Unifying Cluster, Infotainment, Automated Driving, and Parking in a Single, Cost-Saving System, accessed on August 4, 2025, https://nvidianews.nvidia.com/news/nvidia-unveils-drive-thor-centralized-car-computer-unifying-cluster-infotainment-automated-driving-and-parking-in-a-single-cost-saving-system
  26. NVIDIA DRIVE Thor Strikes AI Performance Balance … – NVIDIA Blog, accessed on August 4, 2025, https://blogs.nvidia.com/blog/drive-thor/
  27. Tesla FSD Computer (Hardware 3) Detailed for Full Self Driving & Autopilot – Autonomy Day, accessed on August 4, 2025, https://www.youtube.com/watch?v=NJVcsvQ30AQ
  28. Tesla Hardware 4 (AI4) – Full Details and Latest News – AutoPilot …, accessed on August 4, 2025, https://www.autopilotreview.com/tesla-hardware-4-rolling-out-to-new-vehicles/
  29. Pete Bannon, Ganesh Venkataramanan, Debjit Das Sarma, Emil Talpes, Bill McGee & Team – Hot Chips, accessed on August 4, 2025, https://old.hotchips.org/hc31/HC31_2.3_Tesla_Hotchips_ppt_Final_0817.pdf
  30. Inside Tesla’s Neural Processor In The FSD Chip – WikiChip Fuse, accessed on August 4, 2025, https://fuse.wikichip.org/news/2707/inside-teslas-neural-processor-in-the-fsd-chip/
  31. Tesla Hardware 3 (Full Self-Driving Computer) Detailed – AutoPilot Review, accessed on August 4, 2025, https://www.autopilotreview.com/tesla-custom-ai-chips-hardware-3/
  32. Tesla HW4.0 and HW3.0 hardware comparison information – EEWorld, accessed on August 4, 2025, https://en.eeworld.com.cn/mp/aes/a374718.jspx
  33. Tesla AI Capacity Expansion – H100, Dojo D1, D2, HW 4.0, X.AI, Cloud Service Provider, accessed on August 4, 2025, https://semianalysis.com/2023/06/27/tesla-ai-capacity-expansion-h100/
  34. Tesla Autopilot – Wikipedia, accessed on August 4, 2025, https://en.wikipedia.org/wiki/Tesla_Autopilot
  35. AI & Robotics | Tesla, accessed on August 4, 2025, https://www.tesla.com/AI
  36. NVIDIA DRIVE Thor brings safety and performance to automotive – IoT – Ubuntu Discourse, accessed on August 4, 2025, https://discourse.ubuntu.com/t/nvidia-drive-thor-brings-safety-and-performance-to-automotive/30827
  37. NVIDIA DRIVE Powers Next Generation of Transportation — From Cars and Trucks to Robotaxis and Autonomous Delivery Vehicles, accessed on August 4, 2025, https://nvidianews.nvidia.com/news/nvidia-drive-powers-next-generation-transportation
  38. DRIVE AGX Thor Development Platform – NVIDIA Developer, accessed on August 4, 2025, https://developer.nvidia.com/downloads/drive/docs/nvidia-drive-agx-thor-platform-for-developers.pdf
  39. High-Performance In-Vehicle Computing for Autonomous Vehicles – NVIDIA, accessed on August 4, 2025, https://www.nvidia.com/en-sg/self-driving-cars/in-vehicle-computing/
  40. NVIDIA DriveOS SDK, accessed on August 4, 2025, https://developer.nvidia.com/drive/os
  41. TensorRT SDK – NVIDIA Developer, accessed on August 4, 2025, https://developer.nvidia.com/tensorrt
  42. DRIVE AGX Autonomous Vehicle Development Platform – NVIDIA Developer, accessed on August 4, 2025, https://developer.nvidia.com/drive/agx
  43. Introduction – NVIDIA Docs, accessed on August 4, 2025, https://docs.nvidia.com/self-driving-cars/autonomous-driving-safety-report/introduction/index.html
  44. NVIDIA Autonomous Vehicles Safety Report, accessed on August 4, 2025, https://images.nvidia.com/aem-dam/en-zz/Solutions/auto-self-driving-safety-report.pdf
  45. Snapdragon Ride – Qualcomm, accessed on August 4, 2025, https://www.qualcomm.com/products/automotive/snapdragon-ride
  46. The Snapdragon Ride Platform continues to push ADAS/AD forward – Qualcomm, accessed on August 4, 2025, https://www.qualcomm.com/news/onq/2023/01/snapdragon-ride-platform-continues-to-push-adas-ad-forward
  47. Snapdragon Ride: A foundational platform for automakers to scale with the ADAS market – Qualcomm, accessed on August 4, 2025, https://www.qualcomm.com/content/dam/qcomm-martech/dm-assets/documents/Snapdragon-Ride-GLOBAL-whitepaper.pdf
  48. Snapdragon Ride SDK – Qualcomm, accessed on August 4, 2025, https://www.qualcomm.com/developer/software/snapdragon-ride-sdk
  49. Automated Driving | Snapdragon Ride ADAS Tech for Smart Cars – Qualcomm, accessed on August 4, 2025, https://www.qualcomm.com/products/automotive/automated-driving
  50. The Evolution of EyeQ – Mobileye, accessed on August 4, 2025, https://www.mobileye.com/technology/eyeq-chip/
  51. Benchmark results I Mobileye EyeQ™6H and Jetson AGX Orin, accessed on August 4, 2025, https://www.mobileye.com/technology/eyeq-chip/benchmark/
  52. The fast lane to higher levels of autonomy with the EyeQ™6 SoC | Mobileye Blog, accessed on August 4, 2025, https://www.mobileye.com/blog/the-fast-lane-to-higher-levels-of-autonomy-with-the-eyeq6-soc/
  53. EyeQ Kit™ | SDK for Mobileye’s System-on-Chip, accessed on August 4, 2025, https://www.mobileye.com/solutions/eyeq-kit/
  54. Mobileye’s New EyeQ SDK for EyeQ6 & Ultra – DVN – Driving Vision News, accessed on August 4, 2025, https://www.drivingvisionnews.com/news/2022/07/12/mobileyes-new-eyeq-sdk-for-eyeq6-ultra/
  55. Mobileye Launches EyeQ Kit: New SDK for Advanced Safety and Driver-Assistance Systems, accessed on August 4, 2025, https://www.techpowerup.com/296513/mobileye-launches-eyeq-kit-new-sdk-for-advanced-safety-and-driver-assistance-systems
  56. Sensing Architectures / Integration News – DVN, accessed on August 4, 2025, https://www.drivingvisionnews.com/news/2025/02/05/sensing-architectures-integration-news/
  57. Advanced Imaging Chipset Technology for Intelligent Cameras – Ambarella, accessed on August 4, 2025, https://www.ambarella.com/technology/
  58. Continental and Ambarella Partner On Assisted and Automated Driving Systems With Full Software Stack, accessed on August 4, 2025, https://www.continental.com/en/press/press-releases/20230105-ambarella-strategic-partnership/
  59. Turbocharging AI During CES – Ambarella, accessed on August 4, 2025, https://www.ambarella.com/blog/turbocharging-ai-during-ces/
  60. AI Chiplets: Redefining the future of automotive and high-performance computing, accessed on August 4, 2025, https://etedge-insights.com/technology/artificial-intelligence/ai-chiplets-redefining-the-future-of-automotive-and-high-performance-computing/
  61. How Do Chiplets Work? – Synopsys, accessed on August 4, 2025, https://www.synopsys.com/glossary/what-are-chiplets.html
  62. Processing-in-Memory Tutorials: Experiences from Past Two Years …, accessed on August 4, 2025, https://www.sigarch.org/processing-in-memory-tutorials-experiences-from-past-two-years-and-thoughts-looking-forward/
  63. A Comprehensive Review of Processing-in-Memory Architectures for Deep Neural Networks, accessed on August 4, 2025, https://www.mdpi.com/2073-431X/13/7/174
  64. GenPIM: Generalized processing in-memory to accelerate data intensive applications, accessed on August 4, 2025, https://acsweb.ucsd.edu/~sag076/papers/date18_genpim.pdf
  65. Neuromorphic Computing for Embodied Intelligence in Autonomous Systems: Current Trends, Challenges, and Future Directions – arXiv, accessed on August 4, 2025, https://arxiv.org/html/2507.18139v1
  66. Editorial: Brain-inspired autonomous driving – PMC, accessed on August 4, 2025, https://pmc.ncbi.nlm.nih.gov/articles/PMC11779717/
  67. Heterogeneous Compiler Platform | PASC, accessed on August 4, 2025, https://pasc-ch.org/projects/2013-2016/heterogeneous-compiler-platform/index.html
  68. Tools to Optimize AI Software for AD/ADAS on R-Car SoC – Renesas, accessed on August 4, 2025, https://www.renesas.com/en/software-tool/tools-optimize-ai-software-adadas-r-car-soc
  69. SYCL Overview – The Khronos Group Inc, accessed on August 4, 2025, https://www.khronos.org/sycl/
  70. What Is ISO 26262? – Ansys, accessed on August 4, 2025, https://www.ansys.com/simulation-topics/what-is-iso-26262
  71. Software for Safety-Related Automotive Systems – ZVEI, accessed on August 4, 2025, https://www.zvei.org/fileadmin/user_upload/Presse_und_Medien/Publikationen/2016/August/Software_for_Safety-Related_Automotive_Systems__Best_Practice_Guideline_/Software-for-Safety-Related-Automotive-Systems-Guideline-ZVEI-Stand-2016-12.pdf
  72. Functional Safety at the Software and Hardware Levels for the Self Driving Cars, accessed on August 4, 2025, https://sawhney-prateek97.medium.com/functional-safety-at-the-software-and-hardware-levels-for-the-self-driving-cars-914d83f7e203
  73. ASIL B and ASIL D Compliant Processors for Automotive SoCs – New Products – EEPower, accessed on August 4, 2025, https://eepower.com/new-industry-products/asil-b-and-asil-d-compliant-processors-for-automotive-socs/
  74. An accelerated approach to achieving automotive safety with ASIL D – Tech Design Forum, accessed on August 4, 2025, https://www.techdesignforums.com/practice/technique/accelerated-approach-to-achieving-automotive-safety-with-asil-d/
  75. Synopsys Announces Industry’s First ASIL D Ready Dual-Core Lockstep Processor IP with Integrated Safety Monitor – Mar 7, 2017, accessed on August 4, 2025, https://news.synopsys.com/2017-03-07-Synopsys-Announces-Industrys-First-ASIL-D-Ready-Dual-Core-Lockstep-Processor-IP-with-Integrated-Safety-Monitor
  76. Mastering Fault Tolerance in Automotive Systems – Number Analytics, accessed on August 4, 2025, https://www.numberanalytics.com/blog/mastering-fault-tolerance-in-automotive-systems
  77. Software-only based Diverse Redundancy for ASIL-D Automotive Applications on Embedded HPC Platforms – UPCommons, accessed on August 4, 2025, https://upcommons.upc.edu/bitstreams/71c24624-5d4c-44e2-8ae5-8588e445596a/download
  78. The Challenges Of Testing Automotive Chips – Semiconductor Engineering, accessed on August 4, 2025, https://semiengineering.com/the-challenges-of-testing-automotive-chips/
  79. Software for Safety-Related Automotive Systems – ZVEI, accessed on August 4, 2025, https://www.zvei.org/fileadmin/user_upload/Presse_und_Medien/Publikationen/2025/Januar/Best_Practice_Guideline_Software_for_Safety-Related_Automotive_Systems/Best-Practice-Guideline-Software-for-Safety-Related-Automotive-Systems_final.pdf